欢迎来到传世资源网!
加载中...
正在加载,请耐心等待...
本站为收藏、学习站,如有侵权,请联系管理员删除!

基于zynq平台实现AMP双核加载

介绍 评论 失效链接反馈

AMP模式下如何加载两个操作系统,Linux ,基于zynq平台from clipboard

AMP双核├── ZYNQ实现AMP双系统.doc
├── bootgen
│   ├── BOOT.BIN
│   ├── amp_fsbl.elf
│   ├── app_cpu1.elf
│   ├── bootgen.exe
│   ├── bootimage.bif
│   ├── createBoot.bat
│   ├── system.bit
│   └── u-boot.elf
├── sdcard
│   └── zedboard.zip
├── src
│   ├── apps
│   │   ├── app_cpu1.c
│   │   ├── lscript.ld
│   │   ├── rwmem.c
│   │   └── softuart.c
│   ├── bootgen
│   │   ├── bootimage.bif
│   │   └── createBoot.bat
│   ├── my_ip
│   │   └── irq_gen_v1_00_a
│   │       ├── component.xml
│   │       ├── hdl
│   │       │   ├── verilog
│   │       │   │   └── user_logic.v
│   │       │   └── vhdl
│   │       │       ├── address_decoder.vhd
│   │       │       ├── axi_lite_ipif.vhd
│   │       │       ├── family_support.vhd
│   │       │       ├── ipif_pkg.vhd
│   │       │       ├── irq_gen.vhd
│   │       │       ├── proc_common_pkg.vhd
│   │       │       ├── pselect_f.vhd
│   │       │       ├── slave_attachment.vhd
│   │       │       └── user_logic.vhd
│   │       ├── irq_gen_0
│   │       │   ├── irq_gen_0.xci
│   │       │   └── irq_gen_0.xml
│   │       └── xgui
│   │           └── irq_gen_v1_0.tcl
│   ├── scripts
│   │   ├── create_bd_702.tcl
│   │   ├── create_bd_706.tcl
│   │   ├── create_bd_zedBoard.tcl
│   │   ├── create_proj_702.tcl
│   │   ├── create_proj_706.tcl
│   │   └── create_proj_zedBoard.tcl
│   └── sdk_repo
│       └── bsp
│           └── standalone_amp_v4_299
│               ├── data
│               │   ├── standalone_amp.mld
│               │   ├── standalone_amp.mss
│               │   └── standalone_amp.tcl
│               ├── doc
│               │   ├── html
│               │   │   └── api
│               │   │       ├── annotated.html
│               │   │       ├── dir_U_3A_2Fdoxygen_5Fpublish_2Fsources_2Fbsp_2Fstandalone_5Fv4_5F0_2Fsrc_2Fcommon_2F.html
│               │   │       ├── dir_U_3A_2Fdoxygen_5Fpublish_2Fsources_2Fbsp_2Fstandalone_5Fv4_5F0_2Fsrc_2Fcortexa9_2F.html
│               │   │       ├── dir_U_3A_2Fdoxygen_5Fpublish_2Fsources_2Fbsp_2Fstandalone_5Fv4_5F0_2Fsrc_2Fcortexa9_2Farmcc_2F.html
│               │   │       ├── dir_U_3A_2Fdoxygen_5Fpublish_2Fsources_2Fbsp_2Fstandalone_5Fv4_5F0_2Fsrc_2Fcortexa9_2Fgcc_2F.html
│               │   │       ├── dir_U_3A_2Fdoxygen_5Fpublish_2Fsources_2Fbsp_2Fstandalone_5Fv4_5F0_2Fsrc_2Fmicroblaze_2F.html
│               │   │       ├── dir_U_3A_2Fdoxygen_5Fpublish_2Fsources_2Fbsp_2Fstandalone_5Fv4_5F0_2Fsrc_2Fprofile_2F.html
│               │   │       ├── dirs.html
│               │   │       ├── doxygen.css
│               │   │       ├── doxygen.png
│               │   │       ├── files.html
│               │   │       ├── globals.html
│               │   │       ├── globals_defs.html
│               │   │       ├── globals_func.html
│               │   │       ├── globals_type.html
│               │   │       ├── globals_vars.html
│               │   │       ├── index.html
│               │   │       ├── microblaze__exception__handler_8c.html
│               │   │       ├── microblaze__exceptions__g_8h.html
│               │   │       ├── microblaze__exceptions__i_8h.html
│               │   │       ├── microblaze__interrupt__handler_8c.html
│               │   │       ├── microblaze__interrupts__g_8c.html
│               │   │       ├── microblaze__interrupts__i_8h.html
│               │   │       ├── pvr_8c.html
│               │   │       ├── pvr_8h.html
│               │   │       ├── smc_8c.html
│               │   │       ├── smc_8h.html
│               │   │       ├── struct_m_b___exception_vector_table_entry.html
│               │   │       ├── tab_b.gif
│               │   │       ├── tab_l.gif
│               │   │       ├── tab_r.gif
│               │   │       ├── tabs.css
│               │   │       ├── uart_8c.html
│               │   │       ├── usleep_8c.html
│               │   │       ├── vectors_8c.html
│               │   │       ├── vectors_8h.html
│               │   │       ├── xbasic__types_8h.html
│               │   │       ├── xenv_8h.html
│               │   │       ├── xenv__standalone_8h.html
│               │   │       ├── xil__assert_8c.html
│               │   │       ├── xil__assert_8h.html
│               │   │       ├── xil__cache__l_8h.html
│               │   │       ├── xil__cache__vxworks_8h.html
│               │   │       ├── xil__errata_8h.html
│               │   │       ├── xil__hal_8h.html
│               │   │       ├── xil__macroback_8h.html
│               │   │       ├── xil__mmu_8c.html
│               │   │       ├── xil__mmu_8h.html
│               │   │       ├── xil__testcache_8c.html
│               │   │       ├── xil__testcache_8h.html
│               │   │       ├── xil__testmem_8c.html
│               │   │       ├── xil__testmem_8h.html
│               │   │       ├── xil__types_8h.html
│               │   │       ├── xl2cc_8h.html
│               │   │       ├── xl2cc__counter_8c.html
│               │   │       ├── xl2cc__counter_8h.html
│               │   │       ├── xparameters__ps_8h.html
│               │   │       ├── xpm__counter_8c.html
│               │   │       ├── xpm__counter_8h.html
│               │   │       ├── xpseudo__asm_8h.html
│               │   │       ├── xpseudo__asm__gcc_8h.html
│               │   │       ├── xpseudo__asm__rvct_8c.html
│               │   │       ├── xpseudo__asm__rvct_8h.html
│               │   │       ├── xreg__cortexa9_8h.html
│               │   │       ├── xstatus_8h.html
│               │   │       ├── xtime__l_8c.html
│               │   │       └── xtime__l_8h.html
│               │   └── standalone_v4_2.pdf
│               └── src
│                   ├── changelog.txt
│                   ├── common
│                   │   ├── xbasic_types.h
│                   │   ├── xdebug.h
│                   │   ├── xenv.h
│                   │   ├── xenv_standalone.h
│                   │   ├── xil_assert.c
│                   │   ├── xil_assert.h
│                   │   ├── xil_cache_vxworks.h
│                   │   ├── xil_hal.h
│                   │   ├── xil_macroback.h
│                   │   ├── xil_testcache.c
│                   │   ├── xil_testcache.h
│                   │   ├── xil_testio.c
│                   │   ├── xil_testio.h
│                   │   ├── xil_testmem.c
│                   │   ├── xil_testmem.h
│                   │   ├── xil_types.h
│                   │   └── xstatus.h
│                   ├── cortexa9
│                   │   ├── armcc
│                   │   │   ├── ARM_argv_veneer.c
│                   │   │   ├── Makefile
│                   │   │   ├── _sys_close.c
│                   │   │   ├── _sys_exit.c
│                   │   │   ├── _sys_iserror.c
│                   │   │   ├── _sys_istty.c
│                   │   │   ├── _sys_open.c
│                   │   │   ├── _sys_read.c
│                   │   │   ├── _sys_write.c
│                   │   │   ├── asm_vectors.s
│                   │   │   ├── boot.S
│                   │   │   ├── translation_table.s
│                   │   │   ├── xpseudo_asm_rvct.c
│                   │   │   └── xpseudo_asm_rvct.h
│                   │   ├── gcc
│                   │   │   ├── Makefile
│                   │   │   ├── _exit.c
│                   │   │   ├── _open.c
│                   │   │   ├── _sbrk.c
│                   │   │   ├── abort.c
│                   │   │   ├── asm_vectors.S
│                   │   │   ├── boot.S
│                   │   │   ├── close.c
│                   │   │   ├── cpu_init.S
│                   │   │   ├── errno.c
│                   │   │   ├── fcntl.c
│                   │   │   ├── fstat.c
│                   │   │   ├── getpid.c
│                   │   │   ├── isatty.c
│                   │   │   ├── kill.c
│                   │   │   ├── lseek.c
│                   │   │   ├── open.c
│                   │   │   ├── read.c
│                   │   │   ├── sbrk.c
│                   │   │   ├── translation_table.s
│                   │   │   ├── unlink.c
│                   │   │   ├── write.c
│                   │   │   ├── xil-crt0.S
│                   │   │   └── xpseudo_asm_gcc.h
│                   │   ├── iccarm
│                   │   │   ├── Makefile
│                   │   │   ├── abort.c
│                   │   │   ├── asm_vectors.s
│                   │   │   ├── boot.s
│                   │   │   ├── clock.c
│                   │   │   ├── close.c
│                   │   │   ├── exit.c
│                   │   │   ├── low_level_init.c
│                   │   │   ├── lseek.c
│                   │   │   ├── open.c
│                   │   │   ├── read.c
│                   │   │   ├── remove.c
│                   │   │   ├── translation_table.s
│                   │   │   ├── write.c
│                   │   │   ├── xpseudo_asm_iccarm.c
│                   │   │   └── xpseudo_asm_iccarm.h
│                   │   ├── print.c
│                   │   ├── putnum.c
│                   │   ├── sleep.c
│                   │   ├── sleep.h
│                   │   ├── smc.h
│                   │   ├── usleep.c
│                   │   ├── vectors.c
│                   │   ├── vectors.h
│                   │   ├── xil_cache.c
│                   │   ├── xil_cache.h
│                   │   ├── xil_cache_l.h
│                   │   ├── xil_errata.h
│                   │   ├── xil_exception.c
│                   │   ├── xil_exception.h
│                   │   ├── xil_io.c
│                   │   ├── xil_io.h
│                   │   ├── xil_misc_psreset_api.c
│                   │   ├── xil_misc_psreset_api.h
│                   │   ├── xil_mmu.c
│                   │   ├── xil_mmu.h
│                   │   ├── xil_printf.c
│                   │   ├── xil_printf.h
│                   │   ├── xl2cc.h
│                   │   ├── xl2cc_counter.c
│                   │   ├── xl2cc_counter.h
│                   │   ├── xparameters_ps.h
│                   │   ├── xpm_counter.c
│                   │   ├── xpm_counter.h
│                   │   ├── xpseudo_asm.h
│                   │   ├── xreg_cortexa9.h
│                   │   ├── xtime_l.c
│                   │   └── xtime_l.h
│                   ├── microblaze
│                   │   ├── Makefile
│                   │   ├── _exit.c
│                   │   ├── errno.c
│                   │   ├── fcntl.c
│                   │   ├── fsl.h
│                   │   ├── hw_exception_handler.S
│                   │   ├── mb_interface.h
│                   │   ├── microblaze_disable_dcache.S
│                   │   ├── microblaze_disable_exceptions.S
│                   │   ├── microblaze_disable_icache.S
│                   │   ├── microblaze_disable_interrupts.S
│                   │   ├── microblaze_enable_dcache.S
│                   │   ├── microblaze_enable_exceptions.S
│                   │   ├── microblaze_enable_icache.S
│                   │   ├── microblaze_enable_interrupts.S
│                   │   ├── microblaze_exception_handler.c
│                   │   ├── microblaze_exceptions_g.h
│                   │   ├── microblaze_exceptions_i.h
│                   │   ├── microblaze_flush_cache_ext.S
│                   │   ├── microblaze_flush_cache_ext_range.S
│                   │   ├── microblaze_flush_dcache.S
│                   │   ├── microblaze_flush_dcache_range.S
│                   │   ├── microblaze_init_dcache_range.S
│                   │   ├── microblaze_init_icache_range.S
│                   │   ├── microblaze_interrupt_handler.c
│                   │   ├── microblaze_interrupts_g.c
│                   │   ├── microblaze_interrupts_i.h
│                   │   ├── microblaze_invalidate_cache_ext.S
│                   │   ├── microblaze_invalidate_cache_ext_range.S
│                   │   ├── microblaze_invalidate_dcache.S
│                   │   ├── microblaze_invalidate_dcache_range.S
│                   │   ├── microblaze_invalidate_icache.S
│                   │   ├── microblaze_invalidate_icache_range.S
│                   │   ├── microblaze_scrub.S
│                   │   ├── microblaze_sleep.c
│                   │   ├── microblaze_sleep.h
│                   │   ├── microblaze_update_dcache.S
│                   │   ├── microblaze_update_icache.S
│                   │   ├── pvr.c
│                   │   ├── pvr.h
│                   │   ├── xil_cache.c
│                   │   ├── xil_cache.h
│                   │   ├── xil_exception.c
│                   │   ├── xil_exception.h
│                   │   ├── xil_io.c
│                   │   ├── xil_io.h
│                   │   ├── xil_misc_psreset_api.c
│                   │   ├── xil_misc_psreset_api.h
│                   │   └── xil_printf.h
│                   └── profile
│                       ├── Makefile
│                       ├── _profile_clean.c
│                       ├── _profile_init.c
│                       ├── _profile_timer_hw.c
│                       ├── _profile_timer_hw.h
│                       ├── dummy.S
│                       ├── mblaze_nt_types.h
│                       ├── profile.h
│                       ├── profile_cg.c
│                       ├── profile_config.h
│                       ├── profile_hist.c
│                       ├── profile_mcount_arm.S
│                       ├── profile_mcount_mb.S
│                       └── profile_mcount_ppc.S
└── work
    └── bootgen
        ├── bootimage.bif
        └── createBoot.bat

30 directories, 276 files

下载声明:

本站资源均有第三方用户自行上传分享推荐,非本站自制,仅供玩家做交流学习之用!切勿用于商业用途!游戏作品版权归原作者享有,如有版权问题,请附带版权证明至邮件,本平台将应您的要求删除。
相关推荐:

评论

发表评论必须先登陆, 您可以 登陆 或者 注册新账号 !


在线咨询: 问题反馈
客服QQ:174666394

有问题请留言,看到后及时答复